Photoresists provided by CRL

Tool Owner List

Characterization & Metrology
  • DM01 Leica INM 100 Optical Microscope

    This microscope is a manual, high-performance optical microscope designed for the Semiconductor industry. It is equipped with a nominal CCD camera and a computer system to capture the images – top side illumination only. It is capable of magnifying to 2000x using an extra magnification lens in its body. The microscope has multiple modes of…

    View Tool

  • DM05 Leica INM 200 Optical Microscope

    This is the new Leica INM 200 Optical Microscope system. It is newly designed as a universal inspection microscope, having both reflected and transmitted light imaging capability. In addition, it has extensive image processing software enabling the user to optimize the image, including the ability to greatly extend the depth of focus of high resolution…

    View Tool

  • DM07 Zeiss Supra 40 Scanning Electron Microscope

    This scanning electron microscope is a multiple-use object that has many features. It is capable of imaging resolution of 1-2 nm, it has an EDAX X-Ray spectrometer to determine what elements are present in the image and can map the location of the elements over the image. It has both secondary electron and backscattered electron…

    View Tool

  • DP03 Alessi 4-point Probe

    The Alessi manual 4 point probe uses a Cascade C4S probe head with 1mm spacing between tungsten carbide probe tips. The metering is provided by a QuadTech LR2000 digital milliohmmeter.

    View Tool

  • DS01 TOHO FLX2320 Thin Film Stress Measurement

    The FLX-2320 is a thin film stress machine. A laser scanner is used to measure the changes in the radius of curvature of the substrate caused by the deposition of a thin film on the wafer. This is accomplished by first measuring the wafer curvature before the film is deposited and then re-measuring the curvature…

    View Tool

  • DT05 Nanometrics Nanospec 6100 Thin Film Thickness Analyzer

    The NanoSpec 6100 thin film thickness measurement system utilizes non-contact spectroscopic reflectometry to measure sites as small as 25 µm in diameter on reflecting substrates. The object measures film thicknesses in the range of 200 Å – 20 µm with the visible light source and 25 Å – 20 µm with the UV light source.…

    View Tool

  • Jandel Multiposition Wafer Probe

    The Jandel multiposition wafer probe can measure either the resistance or sheet-resistance at several locations on wafers up to 6 inches in diameter.

    View Tool

  • Oxford Asylum Research Jupiter XR Atomic Force Microscope

    A new AFM to replace the retired Veeco Dimension V SPM that was retired in July 2020. The Jupiter XR was released to our users for operation on August 10, 2020.

    View Tool

  • Rame-Hart Goniometer

    This is a manual goniometer used for measuring the contact angle of a static drop of water on the surface of a solid sample. The contact angle gives the user an idea of how hydrophobic or hydrophillic a sample surface is, which may be very useful when attempting to optimize adhesion between two dissimilar materials.

    View Tool

  • Veeco Dektak VIII Profilometer

    The Dektak 8 profilometer measures the vertical profile of developed or etched patterns by lightly dragging a sharp stylus across the surface and detecting its vertical movement. This allows users to determine resist thickness, etch depth, and general step height distances. Vertical sensitivity is in the fractions of an Angstrom (0.01 nano-meters). It uses a…

    View Tool

  • Woollam M2000D Ellipsometer

    This tool is a spectroscopic ellipsometer that measures thickness, refractive index, and absorption properties of single thin films and multi-layer thin film stacks. Light with a known polarization is reflected off a sample at a known angle, the polarization will change based on the refractive index and the film thickness. The spectral range of the…

    View Tool

  • Zeiss SIGMA 500 VP Scanning Electron Microscope

    This scanning electron microscope (SEM) is a multi-use tool that has many features. It is capable of imaging resolution of 1-2 nm, and provides acceleration voltages from 100 V to 30,000 V. It has an EDAX X-Ray spectrometer to determine elemental composition of a sample and can map the location of the elements over the…

    View Tool

Deposition
  • PD01/ PD02 Plasma-Therm 790 PECVD

    PD01 is a  Plasma-Therm 790 PECVD tool  that is configured with 2% silane in helium to deposit high-quality silicon dioxide, silicon nitride, and amorphous silicon films at temperatures from 100 C to 300 C. The tool was donated to UTD in May 2004 by the Mykrolis Corporation. PD02 is also a Plasma-Therm 790 PECVD system…

    View Tool

  • PD03 Plasma-Therm Vision 310 PECVD

    PD03 is a PlasmaTherm Vision 310 plasma enhanced chemical vapor deposition (PECVD) instrument in service since 2021. It deposits high-quality silicon oxide of uniform thickness across the whole sample platen at 300 degree C deposition temperature. It also supports silicon carbide films deposition using various custom recipes developed at UT Dallas.

    View Tool

  • TC02 Ultratech/Cambridge NanoTech Savannah 100 Atomic Layer Deposition

    The Atomic Layer Deposition system deposits thin films of dielectrics such as hafnium oxide, silicon oxide, and aluminum oxide. The tool can be configured for titanium oxide upon user request. The tool can easily switch from water to ozone precursor. Users may request additional training on the ozone generator.

    View Tool

  • TC03 PICOSUN R-200 Advanced Plasma ALD

    Coming soon.

    View Tool

  • TD03 SCS Parylene Deposition

    The Parylene coater deposits parylene in thin films to serve as an insulating coating for organic semiconductors.

    View Tool

  • TL01 Tystar LPCVD Furnace

    The Tystar Low-Pressure-Chemical-Vapor-Deposition (LPCVD) object consists of four horizontal sub-atmospheric furnace tubes that can process up to 6″ diameter silicon wafers. The furnaces are fully computer controlled and are configured to deposit doped and undoped polysilicon, silicon dioxide, and silicon nitride films. The object is capable of depositing films with wafer-to-wafer and within-wafer uniformity of…

    View Tool

Plasma Etch
  • March Asher

    The March Asher, (PX-250), is a batch etch object designed for a multiplicity of plasma process applications.  The electrodes and sample plates can be quickly rearranged to create direct plasma, reactive ion etch, and down stream plasma conditions for different applications.  This system consists of three modules: a Reaction Chamber, a Process Controller Module and…

    View Tool

  • PE03 Plasma-Therm ICP-Metal Etch

    The Plasma-Therm plasma ICP etcher is configured for etching metallic films using chlorine based chemistries. Presently, Cl2, BCl3, O2, and Ar are installed on the object. The tool is equipped with a vacuum load-lock to prevent potentially toxic etch by-products from venting to the Cleanroom during sample load and unload.

    View Tool

  • PE04 Plasma-Therm, Plasma Dielectric & Deep Silicon Etch

    The PLASMA-THERM, formerly Oerlikon, plasma dielectric and DSE (Deep Silicon Etch) etcher is configured for etching films using fluorine based chemistries. Presently, SF6, CHF3, and C4F8 precursors are installed on the object. The object is equipped with a vacuum load-lock to prevent potentially toxic etch by-products from venting to the Cleanroom during sample load and…

    View Tool

  • PE05 Plasma-Therm III-V’s Etcher

    The Plasma-Therm III-V’s etcher is configured for etching III-V’s compound semiconductors using chlorine and fluorine based chemistries. Presently, Cl2, BCl3, HBr, CHF3, SF6, CH4, O2, N2, H2, He, and Ar are installed on the tool. The tool is capable of sample heating up to 180 C and is equipped with an end-point detection and thickness…

    View Tool

  • PE06 DSE Etch

    Etch system dedicated to deep silicon etch—coming soon!

    View Tool

  • Trion Sirius-T2 RIE Etcher

    This is our new reactive ion etch (RIE) tool! The RIE creates a directional, high energy gas plasma to etch materials. It is capable of etching with argon, oxygen, CF4, and SF6 chemistries.

    View Tool

Lithography
  • CEE Spincoater

    A Brewer Scientific system for coating silicon wafers with a controlled thickness of photoresist. A precision vented hotplate, built into the chassis, allows controlled post baking of the resist.

    View Tool

  • Cole Parmer Ovens

    The Cole Parmer digital ovens are used for SU-8 thermal bake processing typically for MEMS processes.

    View Tool

  • CPK Chrome Etch

    The CPK Acid and Base  processor can spin-spray acids, bases, water, and nitrogen onto substrates in a programmable process with variable spin speeds and chemical spray times.  Several programs are stored to enable chrome etching of photomasks, and development of photoresists.

    View Tool

  • CPK Spin Processor Solvent Develop

    The CPK Solvent  processor can spin-spray solvents (acetone, Ipa) , water, and nitrogen onto substrates in a programmable process with variable spin speeds and chemical spray times.  Several programs are stored to enable cleaning of substrates or developing of resists.

    View Tool

  • Headway Spin Coater

    The CB-15 Headway spinner has a 15 inch bowl in a vented SS hood.  The spinner is used  to spin coat thin films of photoresist onto silicon wafers and photomasks.

    View Tool

  • Heidelberg DWL66 Laser Printer

    A laser source pattern generator used for making photomasks.  A resolution limit of 1.5 microns is reliably demonstrated in our facility.

    View Tool

  • HMDS

    The YES 310 vacuum oven is used to coat substrates with HMDS to enhance resist adhesion to the substrates.

    View Tool

  • Karl Suss MA6B #1 Contact Printer

    Karl Suss MA6 BA6 Contact Aligner/Printer: 1000 W broadband UV arc lamp produces 8 – 12 mW/cm2 intensity at the wafer.  Resolution demonstrated to .5 micron spaces between geometries.  Substrate size ranges from 5×5 mm to 150 mm (6 inch).  We have mask/wafer hardware for 3, 4 and 6 in diameter substrates.  System has automatic…

    View Tool

  • Karl Suss MA6B #2 Contact Printer

    This is our newest contact printer, which takes the place of the Quintel. It was installed in the summer of 2019. It is equipped with a special sample holder for small Si chips/irregularly shaped samples, as well as full Si wafers. The user manual has detailed instructions on how to use the tool, how to…

    View Tool

  • MicroLight 3D Smart Print UV Maskless Lithography System

    This new and innovative photolithography system arrived in the cleanroom in the summer of 2021, and it is located in Bay 5. It is available to users, and its capabilities are still being explored in process development.

    View Tool

  • Obducat Nano-Imprinter

    Lithography imprint system for defining nano-meter features.

    View Tool

  • Raith 150 Two E-Beam Lithography System

    UTD received funding from the National Science Foundation for a high resolution electron beam lithography system as a result of a proposal written by Dr. Walter Hu, Dr. Lawerence Overzet and Dr. J. B. Lee for the purpose of providing researchers in the North Texas area capability for patterning nano-scale devices commensurate with the leading…

    View Tool

  • Solvent Trash Can Exhaust

    Manual

    View Tool

  • TO03-TO07 Blue M Ovens

    The Blue M ovens are used for resist process bake steps. Four ovens cover temperatures from 65 to 180 C.

    View Tool

  • Ultratech Mask Cleaner

    An automated system that can clean multiple masks using detergent and high pressure DI water.

    View Tool

Thermal Processing
  • Jetfirst 200 Rapid Thermal Processor

    The JetFirst 200 is a halogen lamp heated Rapid Thermal Process system that is capable of rapidly  heating samples from ambient up to 1200 C. The object is provided with closed loop temperature control set by either a thermocouple or optical pyrometer. The reaction chamber can accommodate wafers up to 200 mm in diameter with…

    View Tool

  • Minibrute Atmospheric Furnaces

    The four-stack Thermco MiniBrute atmospheric furnace system provides basic oxidation and annealing capabilities needed for electron device fabrication on wafers up to 100 mm in diameter. The system is presently configured to allow either O2, 10% O2 + 90% N2, N2, or forming gas to be delivered to tubes 2 and 3. The two bottom…

    View Tool

  • TR02/TR03 MPTC RTP – 600 Rapid Thermal Processor

    The MPTC RTP-600 is a compact, single-wafer, desk-top rapid thermal process system that is capable of rapidly heating samples from ambient up to 1200 C. The object features an easily interchangeable quartz heating chamber with closed-loop temperature control and programmable Time-Temperature profiles. The reaction chamber is configured to hold 100 mm wafers. The UTD Cleanroom has…

    View Tool

  • TV01 Vacuum Oven

    Coming soon.

    View Tool

  • Tystar Diffusion/Oxidation Furnaces

    The four-stack Tystar atmospheric furnace system provides basic oxidation and annealing capabilities needed for silicon transistor and MEMS fabrication on wafers up to 150 mm in diameter. The system is presently configured with two oxidation tubes and two tubes for solid-source diffusion and annealing of N and P type dopants. The furnaces are fully computer-controlled…

    View Tool

Thin Film Deposition
  • E-beam Evaporator System Overview

    Vacuum control, Shutter/Rotation/Crucible IDs, POWER control, Crystal monitor, Sweep Control

    View Tool

  • ME01 CHA Mark 50 E-Gun Evaporator

    The CHA evaporator is a 3 gun 13 kilowatt cryo pumped e-gun metal evaporator allowing co-deposition by running 2 guns simultaneously.  This uses an EB-13 crucible and is used for gold, copper, and chrome evaporation.

    View Tool

  • ME02 Cryo-Evaporator E-beam Deposition

    The Cryo evaporator is a tech built cryo pumped 8 kilowatt 4 pocket e-gun evaporator used for thin film metal deposition.

    View Tool

  • ME03 Temescal E-Beam Deposition

    Temescal 1800 e-beam evaporator is a single-chamber system for the thin-film evaporation. A maximum of four different materials can be evaporated in one pump-down cycle, from the four pocket electron gun. The thickness of the metal is monitored during the evaporation  by an Inficon thickness monitor. Substrates up to 4 inches can be attached to…

    View Tool

  • MS02 Hummer VI Sputter

    The Hummer is a sputter system used to coat SEM samples with a thin film of Palladium Gold to reduce charging.

    View Tool

  • MS03 AJA 1500 Magnetron Sputter Deposition

    The ATC 1500 sputter deposition system, by AJA International, has the capability to co-sputter conductive and insulating materials on substrates up to 100 mm in diameter. In addition to Ar sputtering, N2 and O2 are also available for use in reactive-ion sputtering. The UTD object is equipped with 2 RF and 2 DC magnetron sources…

    View Tool

  • MS04 AJA Orion Magnetron Sputter Deposition

    The ATC Orion sputter deposition system, by AJA International, has the capability to co-sputter conductive and insulating materials on substrates up to 100 mm in diameter. In addition to Ar sputtering, N2 or O2 are also available for use in reactive-ion sputtering. The UTD object is equipped with 3 RF and 2 DC magnetron sources.…

    View Tool

Surface Chemistry (Wet Processing)
  • CD02 Avenger Basic Dual Chamber Spin Rinse Dryer

    The Avenger Basic Spin Rinse Dryer is a dual chamber wafer washer system with 3” and 4” diameter substrate capabilities. The substrates are held in a standard Teflon wafer boat that is balanced along with the rotor frames by the manufacturer. This means that you can only spin your substrates using the designated boat or…

    View Tool

  • CD03 Tousimis Supercritical Dryer

    The Tousimis Supercritical “Automegasamdri” Model 815B Series C Critical Point Drier (CPD) is a system for drying typically MEMS devices after sacrificial layer etch or samples with high aspect ratio photoresist features.  If the HF etchant was rinsed with water and dried in atmosphere, the surface tension of the water could pull structures such as…

    View Tool

  • CO02 Samco UV Ozone Stripper/Cleaner

    The Samco Deep UV cleaner is a  system using 185 nM and 254 nM energy with Oxygen or Ozone to remove compounds from the surface of a substrate.  This can result in an atomically clean surface.  Typically, most of the compounds (photoresist, etchant masks) will be removed with a chemical stripper.  The DUV cleaner is…

    View Tool

  • HA01 Acid Hood

    The Acid Hood is designed for the safe handling and disposal of acidic liquids only. It is constructed of chemical and fire resistant white plastic with a 3 by 2.5 foot working surface. The hood features a temperature controlled hot plate, a deionized-water sink and faucet, DI water and nitrogen spray guns, and two process…

    View Tool

  • HB01 Base Hood

    The Base Hood is designed for the safe use of basic liquids only. It is constructed of chemical and fire resistant white plastic with a 3 by 2.5 foot working surface. The hood features a temperature controlled hot plate, a deionized-water sink and faucet, DI water and nitrogen spray guns, and two process timers.

    View Tool

  • HR01 RCA Hood

    The RCA Hood is designed for the safe handling and disposal of RCA silicon wafer cleanup solutions. It is constructed of chemical and fire resistant white plastic with a 5.5 by 2.6 foot working surface. The hood features four temperature controlled cleanup baths, two cascade rinse tanks, a deionized-water sink and faucet, DI water and…

    View Tool

  • HS01 General Solvent Hood

    The General Solvent Hood is designed for the safe handling and disposal of organic solvents only. It is constructed of stainless steel with a 5 by 2.5 foot working surface. The hood features a temperature controlled hot plate, three solvent tanks, a solvent drain, a deionized-water sink and faucet, DI water and nitrogen spray guns,…

    View Tool

  • HS02 Solvent Hood

    The Solvent Hood is designed for the safe handling and disposal of organic solvents only. It is constructed of stainless steel with a 3 by 2.5 foot working surface. The hood features a temperature controlled hot plate, a deionized-water sink and faucet, a solvent tank, an ultrasonic tank, DI water and nitrogen spray guns, and…

    View Tool